Author Archives: admin

New Video Tutorial: Altera DE1 EEPPROM programming.

July 25, 2012 by

Hi all,

Just posted another video tutorial!

This one show you how to take your Quartus design file, and program it into the DE1 EEPROM to make it the default program.

Check it out here:

Saving your design to EEPROM on your DE1 board

Cheers,

Jim.

Continue reading...
Published in Digital Logic

Creating a 1 Hz on-board clock on the Altera DE1 board.

June 28, 2012 by

Hi all,

Just posted a quick video tutorial on how to use the LPM_COUNTER function to take the 50 MHz on-board clock and divide it down to 1 Hz to use in projects, or to blink LEDs, or to do whatever you need it to do.

If you have any ...

Continue reading...
Published in Uncategorized

Quartus II v11.1 Video Tutorial [New Lesson Posted]

June 28, 2012 by

Hi all,

I have a video tutorial showing how to use version 11.1 of the Altera Quartus II Design Software.

If you have any questions, just shout!

Cheers,

Jim.

Continue reading...
Published in Uncategorized

4-Variable Karnaugh Map Tutorial [New Lesson Posted]

May 23, 2012 by

Hi!

Just posted the 4-Variable Karnaugh Map Tutorial.

If you did the 3-Variable, then why not try the 4-Variable? 🙂

Cheers,

Jim.

Continue reading...
Published in Uncategorized

3 Variable Karnaugh Map [New lesson posted]

April 3, 2012 by

Hi,

A lesson has just been posted in the Digital Logic section:

3 Variable Karnaugh Map Tutorial

Enjoy!

Jim.

Continue reading...
Published in Digital Logic

And we’re off!

April 1, 2012 by

And we're off!

Welcome to my classroom!

Have a seat, and make yourself comfortable!

Things are kind of new here, so make sure you check back often. Lessons and tutorials are being added weekly, and we hope to have all of the content up soon.

As we are still putting ...

Continue reading...
Published in Uncategorized